电子科技大学《EDA技术》在线作业二

奥鹏电子科技大学平时在线作业

18秋《EDA技术》在线作业2-0001

字符串型文字O“1234”的长度为___________。
A:12
B:4
C:8
D:16
答案问询微信:424329

使用STD_LOGIG_1164使用的数据类型时( )。
A:可以直接调用
B:必须在库和包集合中声明
C:必须在实体中声明
D:必须在结构体中声明
答案问询微信:424329

国际上生产FPGA/CPLD的三家主流公司为
A:Altera、Xilinx、Lattice公司
B:Altera、Marax、Lattice公司
C:IBM、Xilinx、Lattice公司
D:Altera、Xilinx、AD公司
答案问询微信:424329

下列关于变量的说法正确的是( )。
A:变量是一个局部量,它只能在进程和子程序中使用。
B:变量的赋值不是立即发生的,它需要有一个延时。
C:在进程的敏感信号表中,既可以使用信号,也可以使用变量。
D:变量赋值的一般表达式为:目标变量名= 表达式。
答案问询微信:424329

在VHDL中,用语句_____表示clock的下降沿
A:clock’EVENT
B:clock’EVENT ?AND ?clock=’1’
C:clock=’0’
D:clock’EVENT? AND ?clock=’0’
答案问询微信:424329

在VHDL中,( )不能将信息带出对它定义的当前设计单元。
A:信号
B:常量
C:数据
D:变量
答案问询微信:424329

执行下列语句后Q的值等于( )。
??
SIGNAL E: STD_LOGIC_VECTOR (2 TO 5);
SIGNAL Q: STD_LOGIC_VECTOR (9 DOWNTO 2);
??
E=(2=’0’, 4=’0’, OTHERS=’1’);
Q=(2=E (2), 4=E (3), 5=’1’, 7=E (5), OTHERS=E (4));
??
A:“11011011”
B:“00110100”
C:“11011001”
D:“00101100”
答案问询微信:424329

下列关于CASE语句的说法不正确的是
A:条件句中的选择值或标识符所代表的值必须在表达式的取值范围内。
B:CASE语句中必须要有WHEN OTHERS=NULL;语句。
C:CASE语句中的选择值只能出现一次,且不允许有相同的选择值的条件语句出现。
D:CASE语句执行必须选中,且只能选中所列条件语句中的一条。
答案问询微信:424329

在Quartus II中,新建时序波形文件时应选择( )。
A:Editor fie
B:Graphic Editor file
C:Text Edi奥鹏电子科技大学平时在线作业tor file
D:Vector waveform file
答案问询微信:424329

一般把EDA技术的发展分为( )个阶段。
A:5
B:4
C:3
D:2
答案问询微信:424329

MAXPLUSSII中编译VHDL源程序时,要求( )。
A:文件名和实体可不同名
B:文件名和实体名无关
C:文件名和实体名要相同
D:不确定
答案问询微信:424329

在VHDL语言中,下列对时钟边沿检测描述中,错误的是
A:if clk’event and clk = ‘1’ then
B:if falling_edge(clk) then
C:if clk’event and clk = ‘0’ then
D:if clk’stable and not clk = ‘1’ then
答案问询微信:424329

EAB中RAM的大小可灵活配置,Altera FLEX 10K 系列器件中的EAB作RAM用时,有哪几种配置模式
A:512×8,1024×4,2048×2,4096×1
B:256×8,512×4,1024×2,2048×1
C:256×4,512×2,1024×1
D:256×16,512×8,1024×4,2048×2
答案问询微信:424329

VHDL常用的库是
A:IEEE
B:STD
C:WORK
D:PACKAGE
答案问询微信:424329

请在下例的语句中选择所需的符号____。signal a,b,c : std_logic;c____a+b after 10ns;
A::=
B:=
C:==
D:=
答案问询微信:424329

在VHDL中,用语句( )表示检测clock的上升沿。
A:clock'EVENT
B:clock'EVENT AND clock='1'
C:Clok='0'
D:clock'EVENT AND clock='0'
答案问询微信:424329

根据VHDL语法规则,下面哪个标识符是非法的标识符
A:not—Ack
B:constant
C:FFT_1024_1
D:state0
答案问询微信:424329

在元件例化语句中,用_______符号实现名称映射,将例化元件端口声明语句中的信号与PORT MAP()中的信号名关联起来。
A:=
B::=
C:=
D:=
答案问询微信:424329

下面哪个说法是错误的
A:进程语句与进程语句之间是并行执行的,进程语句内部是顺序执行的
B:进程语句是可以嵌套使用的
C:块语句与块语句之间是并行执行的,块语句内部也是并行执行的
D:块语句是可以嵌套使用的
答案问询微信:424329

下面哪种语句不是并行语句
A:wait语句
B:process语句
C:块语句
D:生成语句
答案问询微信:424329

提供优质的教育资源

公众号: 超前自学网